VNG Career Site Header

Cộng đồng nhân tài

Chia sẻ bài viết

  • Logo Footer
  • Logo Footer

Phối hợp hiệu quả để tối ưu hóa giá trị data: cách áp dụng tại VNGGames

04:22 AM | 03/08/2023

Trong số thứ 3 mang tên “Working with Data: A Big Picture” của chuỗi hoạt động GameTalk, các Starters nhà VNGGames đã cùng nhau có một buổi trò chuyện về chủ đề dữ liệu với anh Trần Đào Khuê, Head of Game Data Studio. Xuyên suốt hai tiếng của chương trình, những thông tin về vai trò, nhiệm vụ của data và các cập nhật trong quy trình làm việc với data đã được anh Khuê gửi đến Starter trong không gian trao đổi cởi mở.

 

Data cho chúng ta cái nhìn định lượng về tất cả mọi mặt của kinh doanh

Data được xem như mạch máu trong vận hành của VNGGames bởi vai trò quan trọng và không thể tách rời khỏi quá trình làm việc của tất cả các bộ phận. Khi xem xét về hai mảng phát hành và phát triển game, tính chất và chức năng của data thường có những điểm giống và khác nhau nhất định. Chính vì thế, việc thống nhất và chuẩn hóa dữ liệu để đảm bảo sử dụng hiệu quả, khai thác tối đa những giá trị và lợi ích của data là rất quan trọng. Từ đó, VNGGames đã xây dựng Game Data Studio với vai trò phụ trách toàn bộ data, đồng thời tạo ra các công cụ, nền tảng hỗ trợ quản lý, phân phối và đưa ra các quyết định kinh doanh.

 

 

Ở VNGGames, data đang được sử dụng với các chức năng chính là báo cáo và phân tích, phục vụ cho vận hành và marketing. Các chỉ số được tập trung xem xét và theo dõi bao gồm các data vận hành (in-game data, payment data, financial data), data marketing (chỉ số trong các chiến dịch) và data user (dữ liệu liên quan đến người chơi các sản phẩm game) bên cạnh các data về thị trường thu thập từ các nền tảng và công cụ bên thứ 3.

 

Nhìn data với tư duy trả lời những câu hỏi “vì sao”

Làm việc với data chính là làm việc với những con số. Để không dừng lại ở việc đọc số đơn thuần, mỗi Starter cần tiếp cận data theo hướng có mục đích, tìm ra ý nghĩa và câu chuyện đằng sau để đáp ứng cho từng hoạt động, nhu cầu của đội ngũ. Anh Khuê cũng nhấn mạnh tầm quan trọng của việc tạo ra và tổng hợp insight từ những dữ liệu đang có. Đây sẽ là nguồn thông tin quý giá, cho biết xu hướng, sở thích, thói quen của người dùng, hỗ trợ tối đa cho quá trình nghiên cứu và xây dựng chiến lược vận hành của từng tựa game.

 

Tùy theo nhu cầu của từng giai đoạn, các dữ liệu sẽ có mức độ quan trọng và ưu tiên khác nhau. Bên cạnh đó, nếu không đầu tư công sức để thu thập, chọn lọc data, chất lượng data thấp sẽ dẫn đến chất lượng sản phẩm thấp. Chính vì thế, các Starter cần tự trang bị nhiều kiến thức và kỹ năng về đánh giá, phân loại, phân tích data, đồng thời liên tục bồi dưỡng kiến thức chuyên môn. Trước những thay đổi liên tục của thị trường, đặc biệt là những quy định và xu hướng liên quan đến người dùng hay thu thập dữ liệu người dùng, việc luôn cập nhật thông tin để làm mới hiểu biết nền tảng về ngành game hay data là cần thiết, giúp Starter tiếp tục tạo ra nhiều đóng góp, tác động tích cực cho kết quả chung của đội ngũ.

 

Thay cho lời kết, anh Khuê chia sẻ, việc phối hợp và cộng tác giữa các Starter tại VNGGames đóng vai trò rất quan trọng trong việc tạo ra nhiều giá trị hơn cho những dữ liệu đang sở hữu. Data chỉ có ý nghĩa khi được tổng hợp và thống nhất sử dụng, cũng như có rất nhiều những kinh nghiệm, bài học chúng ta có thể chia sẻ với nhau để tăng hiểu biết chung về sản phẩm, về thị trường, về tiềm năng của những gì đang làm. Tinh thần phối hợp sẽ là nguồn động lực lớn cho sự phát triển của cá nhân và cả cho tập thể.